CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl BCD

搜索资源列表

  1. binaryTObcd

    0下载:
  2. Binary to BCD converter in VHDL.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:92285
    • 提供者:Paulo
  1. Converte_integer_to_bcd

    0下载:
  2. VHDL code for INTEGER conversion (0-255) to BCD code for display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:11343
    • 提供者:Pedro Benko
  1. 2

    0下载:
  2. BCD码七段译码器CC4511,用VHDL语言来描述CC4511。-BCD code seven-segment decoder CC4511, using VHDL language to describe the CC4511.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2435
    • 提供者:李小勇
  1. altera_de2_vhdl

    0下载:
  2. Tutorial of VHDL with Altera DE2 board: quartus II and DE2 board The target do the BCD sum of input data coded with the switches and display the result on 7 segment display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:590232
    • 提供者:candido
  1. vhdlsample

    0下载:
  2. vhdl program for bcd conter to 7 segment display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:8431
    • 提供者:jenaipsita
  1. division_imp4_v5

    0下载:
  2. Code VHDL for Newton Raphson BCD Division and Carry Save Multiplication in BCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:8074
    • 提供者:Juan Manuel
  1. Sum_Rest_BCD

    0下载:
  2. VHDL Sum and Rest BCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3932
    • 提供者:Juan Manuel
  1. 2to10

    0下载:
  2. 2 to 10 bcd under vhdl langage in maxplus2 good one
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2597
    • 提供者:adam
  1. hw4

    0下载:
  2. Write VHDL codes to show, on two 7-segment LEDs, the binary coded decimal (BCD) equivalence of the binary representation of the state of eight switches. Use a function to perform the specified task. Assume that the 7-segment LEDs are turned on with l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:331706
    • 提供者:vinay
  1. 8421BCD

    0下载:
  2. 8421bcd 编码 把十进制数字转换为8421bcd型二进制序列,例如11=00010001-8421bcd coded decimal numbers into 8421bcd the type of binary sequences, such as 11 = 00010001
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:11602
    • 提供者:zhaohong
  1. summator

    0下载:
  2. 加法器是产生数的和的装置。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。在电子学中,加法器是一种数位电路,其可进行数字的加法计算。在现代的电脑中,加法器存在于算术逻辑单元之中。 加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。加法器可以用组合逻辑电路实现也可以用VHDL语言实现。-Adder is generated and the number of devices. Arithmetic logic unit is used as a computer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:27122
    • 提供者:王伟
  1. BCD_CNT

    0下载:
  2. vhdl十进制计数器。完成计数长度为0-999的BCD码加法计数器,输出数据为三个宽度为4位的数据。-decimal counter vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:33081
    • 提供者:成思远
  1. Counter24hour

    0下载:
  2. 用VHDL语言编写的一个二十四进制计数器,一个脉冲输入引脚,一个复位输入端,四个BCD码输出端。与我另外的八个模块是配配套的。-A 24 binary counter programmed with VHDL language.A pulse input, a reset input, four output BCD code. It is one of my total 9 modules that are used to design a digital clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:169876
    • 提供者:chzhsen
  1. Counter60sec

    0下载:
  2. VHDL语言编写的一个六十进制计数器(用于秒),一个脉冲输入引脚,一个复位引脚,8个BCD码输出引脚,一个进位输出引脚。与我的其它8个模块配套构成一个数字钟。 -A 60 binary counter(for second) programmed with VHDL language.A pulse input, a reset input, eight BCD code output. It is one of my total 9 modules that are used to de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:147863
    • 提供者:chzhsen
  1. db

    0下载:
  2. fulladder made by me I hope it works, the only thing I need from your database is the V74160.rar, the vhdl code for the 4 bit bcd counter with asynchronious reset.. please help me thank you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:529198
    • 提供者:sarro
  1. taximeter

    0下载:
  2. 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元; 行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:88018
    • 提供者:占斌
  1. VHDL_BCD28

    0下载:
  2. vhdl code for BCD tranfer to 8 (LED light)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2077
    • 提供者:qqq
  1. counterms

    0下载:
  2. verilog语言写的可置数的倒计时计数器,共四位bcd码,分别为分钟两位和秒两位。波形完美无毛刺.开发环境没找到verilog只好写了vhdl-verilog based counter for minutes and seconds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:644
    • 提供者:yhl
  1. DECODER7

    0下载:
  2. 基于FPGA的BCD/七段译码器的设计,QuartusII编译通过,采用VHDL语言编写。-Based on FPGA BCD/these seven decoder design, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:286268
    • 提供者:左云华
  1. convertor

    0下载:
  2. vhdl语言编写的,在QuartusII下,组合逻辑电路设计(4位二进制码到BCD码的转换器)的设计,经验证无错误-Four BCD binary switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:259400
    • 提供者:李晶盈
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com